AMD Fusion

aus Wikipedia, der freien Enzyklopadie
Zur Navigation springen Zur Suche springen
AMD Fusion
Produktion: seit 2011
Produzenten:
Prozessortakt: 1,0 GHz bis 4,1 GHz
Befehlssatz : AMD64 ( x86-64 )
Mikroarchitektur : Bobcat, K10 , Jaguar, Bulldozer und Piledriver , Steamroller und Excavator
Sockel:
Namen der Prozessorkerne:

AMD Fusion ist der Code- und Markenname eines Prozessorkonzepts , das CPU und GPU sowie Video- und andere Hardwarebeschleuniger auf einem Die vereinigt. Es ist das Ergebnis der Ubernahme ATIs durch AMD. [1] AMD nennt diese Konstruktion Accelerated Processing Unit (APU). Erste Modelle basierend auf diesem Konzept fur den Einsatz in Netbooks und ahnlichen Geraten wurden im Januar 2011 vorgestellt, [2] weitere folgten im Verlauf des Jahres 2011. Sie sind Teil des HSA -Programms der HSA Foundation . [3]

AMD demonstrierte seine erste Fusion-APU am 1. Juni 2010 auf der Computex . Die Demonstration umfasste u. a. eine kurze Einspielung, die einen Ausschnitt aus dem 3D-Spiel Aliens vs. Predator zeigte, das auf einem Ontario -System in Echtzeit gelaufen sein soll. [4]

Konkrete Produkte in Form der E- und C-Serien wurden am 4. Januar 2011 vorgestellt. [2] Die Llano-Serie fur Notebooks wurde am 14. Juni 2011 prasentiert. [5]

Am 15. Mai 2012 wurde die zweite Generation der mobilen A-Serie namens Trinity (basierend auf dem Piledriver-Prozessorkern der Bulldozer-Architektur) publik gemacht. Diese lost die Llano-Serie ab, die noch auf der alten K10 -Architektur beruht. [6]

Die Prozessoren oder APUs haben keine Marketingnamen, wie fruher etwa mit Phenom oder Athlon ublich. Einziger Markenname in der Prozessorbezeichnung ist ?AMD“. Fur alle AMD-Systeme gibt es aber noch den AMD Vision -Marketingnamen. Je nach Leistung und Funktionsumfang werden entsprechende Vision-Sticker auch um Zusatze wie ?Smart HD“, fur gunstige und schwachere Versionen, uber ?Brilliant HD Everyday“ und ?Brilliant HD Entertainment“, fur den unteren Massenmarkt, bis hin zu ?Brilliant HD Performance“, fur den oberen ?Mainstream“-Bereich erganzt.

Technische Umsetzung des Konzepts

[ Bearbeiten | Quelltext bearbeiten ]

Kernaspekt der Fusion -Technologie ist die direkte Verbindung wesentlicher Systemkomponenten ? x86/AMD64-Prozessorkerne, Vector Engines ( SIMD ) und Unified Video Decoder (UVD) fur High Definition-Videowiedergabe ? uber denselben High-Speed-Bus mit dem Systemhauptspeicher ( Random-Access Memory oder RAM). Die Architektur soll so einige Nachteile umgehen, die mit integrierten Grafikprozessoren (IGPs) in bisherigen Einzelchip-Losungen verbunden sind, wie hohere Speicherlatenz und Energieaufnahme sowie geringere Laufzeiten im Akkubetrieb. [7] AMD nennt diese Konstruktion Accelerated Processing Unit . Die Mehrkernprozessoren sollen einen oder mehrere Hauptprozessor - Kerne (CPU) und mindestens einen zusatzlichen Prozessor fur spezielle Aufgaben enthalten, vorerst einen Grafikprozessor (GPU). [8] Diese Kombination soll dann besser zusammenarbeiten.

Bisher gibt es seitens AMD folgende Umsetzungen des Fusion-Konzepts fur unterschiedliche Bereiche:

Subnotebooks und Tablets, Netbooks und Nettops

[ Bearbeiten | Quelltext bearbeiten ]

Ontario und Zacate (Bobcat-Architektur)

[ Bearbeiten | Quelltext bearbeiten ]

Bobcat ist der Codename fur die Architektur eines Zweikernprozessors mit integrierter GPU und Northbridge , der fur geringen Stromverbrauch und kleinen Preis optimiert wurde und deshalb uber vergleichsweise geringe Rechenleistung verfugt. Einsatzbereiche sind gunstige Systeme wie Netbooks und Nettops sowie Gerate, welche besonders niedrige Verlustleistung aufweisen sollen, etwa Subnotebooks und Tablets . Bei Bobcat handelt es sich im Gegensatz zum Konkurrenzprodukt Intel Atom um eine effizientere Out-of-Order -Prozessorarchitektur, welche die Basis fur AMDs Ontario - und Zacate -APUs bildet, die in den Serien C, E und G ( AMD Family 14h Processor ) verwendet werden. [9]

Kabini und Temash (Jaguar-Architektur)

[ Bearbeiten | Quelltext bearbeiten ]

Die Jaguar -Architektur lost die auf Bobcat basierenden Prozessoren ab. Sie bildet die Basis fur AMDs Kabini - und Temash -APUs der Serien A und E. Mit dieser Generation wird auf TSMCs 28-Nanometer-Bulkprozess umgestellt, der eine Kernflache von 3,1 mm² erlaubt (zum Vergleich: Bobcat in 40-nm-Fertigung 4,9 mm²) [10] und somit Energieaufnahme sowie die Flache pro Kern reduziert. Dies ermoglicht bis zu vier Kerne, die in einem sogenannten Modul zusammengefasst werden konnen. Durch die Modularitat dieses Systems kann man besser auf Kundenwunsche eingehen (siehe Xbox One und PlayStation 4 ). Die Große des Prozessorcaches, den sich alle Kerne teilen (shared), steigt auf 2 MB an und die Gleitkommaeinheit arbeitet mit 128-Bit Datenbreite . Gekoppelt werden die Jaguar-Kerne mit der Grafik-Architektur ?Graphics Core Next“ (?GCN“), sodass deutlich mehr Grafikleistung zur Verfugung steht als bei den Vorgangern auf Basis der VLIW-Architektur .

Mit Jaguar unterstutzt AMD erstmals in Low-Voltage-Prozessoren den kompletten SSEx -Befehlssatz sowie auch AES und AVX . Diese Befehlssatze waren vorher nur den großen Architekturen wie Bulldozer (SSEx, AES und AVX) oder K10 (nur SSE4a ) vorbehalten. Die IPC ( Instructions per Cycle ) sollen um ca. 15 % steigen. [11] [12]

Beema und Mullins (Puma-Architektur)

[ Bearbeiten | Quelltext bearbeiten ]

Mit der Puma -Architektur zielt AMD darauf ab, den Stromverbrauch gegenuber Jaguar weiter zu senken, ohne dabei Einbußen bei der Leistung hinzunehmen. Die Fertigung erfolgt weiter in 28 Nanometern, allerdings nicht langer im Gate-Last-Verfahren bei TSMC, sondern von GlobalFoundries. [13] Verfeinerungen in der Fertigung und beim Design sorgen aber fur einen reduzierten Leckstrom und geringere Leistungsaufnahme beim Rechnen. [14] Als Alternative zu Intels Trusted Execution Technology integriert AMD die TrustZone -Technologie aus der ARM -Welt. Zu diesem Zweck verfugt der Chip uber einen ARM Cortex-A5 .

Notebooks und Desktops

[ Bearbeiten | Quelltext bearbeiten ]

Llano (K10-Architektur, Husky-Kern)

[ Bearbeiten | Quelltext bearbeiten ]

Llano ist der Codename fur eine Prozessorarchitektur mit integrierter GPU und Northbridge , die fur den unteren ?Mainstream“-Bereich konzipiert ist und in Notebooks und Desktop-Rechnern zum Einsatz kommt. Diese wird von AMD als AMD Family 12h Processors eingeordnet. [15] [16] Die Fusion-Llano-APU kombiniert zwei bis vier Husky-Prozessorkerne der K10-Generation ( AMD Family 10h Processor ) mit Kompatibilitat zu x86 -Befehlssatzen und zur x64 -Architektur, sowie einen DirectX -11-kompatiblen Grafikkern, wie er bei Radeon-HD-5570 -Karten zu finden ist. Anders als bei der Radeon HD 5570 wurde bereits UVD 3.0 statt UVD 2.0 im Grafikchip integriert.

Die parallele Rechenleistung des GPU-Teils soll neben der Grafikbeschleunigung uber Programmierschnittstellen wie OpenCL , WebGL , AMD APP (fruher ?ATI Stream“-SDK) [17] und Microsoft DirectCompute , die serielle der Prozessorkerne gerade im Gleitkommabereich erganzen. [18]

Obwohl die skalaren x86-Kerne und die SIMD-Engines der APUs einen gemeinsamen Pfad zum Systemspeicher teilen, ist bei dieser ersten Generation der Speicher noch in verschiedene Regionen getrennt. Zum einen gibt es den vom Betriebssystem verwalteten Speicherbereich, welcher auf den x86-Kernen lauft, zum anderen die von der Software, welche auf den SIMD-Engines ausgefuhrt wird, verwalteten Speicherregionen. Fur den Datenaustausch zwischen beiden Teilen hat AMD High-Speed-Block-Transfer-Engines eingerichtet. Im Gegensatz zu Datenubertragungen zwischen externen Framebuffern und Systemhauptspeicher sollen diese Transfers nie den (externen) Systembus belegen. [19]

Trinity (Piledriver-CPU-Kern)

[ Bearbeiten | Quelltext bearbeiten ]

Die APUs mit Codenamen Trinity ersetzen die Llano-Reihe mit K10-Innenleben. Die neuere Architektur mit GPU und Northbridge, ebenfalls fur den Massenmarkt ausgelegt, wird gleichfalls in Notebooks und Desktops verwendet. Sie kombiniert Prozessortechnik der Piledriver -CPUs (einer optimierten Version der Bulldozer -Architektur ( AMD Family 15h Processor )), ausgelegt als Module, mit aktuelleren Radeon-HD-GPUs. Hierbei handelt es sich um Kerne ahnlich den Radeon HD 7350 bis 7670 der AMD-Radeon-HD-7000-Serie . Marktstart fur die Notebook-CPUs war der 15. Mai 2012, die Desktop-CPUs wurden am 2. Oktober 2012 vorgestellt. [20] [21]

Richland (Piledriver-CPU-Kern)

[ Bearbeiten | Quelltext bearbeiten ]

Die Richland -APUs losen ihre Vorganger auf Trinity-Basis ab. Trotz neuen Codenamens setzen sie auf dieselbe Architektur und bieten nur ein neues Stepping. Die GPUs basieren auf der VLIW4-Architektur, die auch als TeraScale 3 bekannt ist. Technisch entsprechen sie den Grafikkarten der HD6900-Serie mit dem Codenamen "Northern Islands".

Kaveri (Steamroller-CPU-Kern)

[ Bearbeiten | Quelltext bearbeiten ]

Die Kaveri -APUs folgen den Trinity- und der Richland-Reihen nach. Sie wurden fur den 14. Januar 2014 angekundigt und enthalten die neue Steamroller-Architektur , welche eine weitreichende Uberarbeitung der Bulldozer-Architektur darstellt. Die integrierte GPU wird auf die GCN-Architektur, wie sie mit dem Bonaire-Chip in der Radeon HD7790 im Marz 2013 vorgestellt wurde, umgestellt. Es sollen im Laufe des Jahres 2014 APUs fur Desktoprechner (mit Sockel FM2+ ), Notebooks, im Embedded- und im Server-Bereich auf den Markt kommen. [22]

In moderaten Auflosungen wie 720p laufen Spiele auf einem Kaveri-System zumeist flussig mit 40 und mehr FPS . [23] Voraussetzung hierfur ist ein schneller Hauptspeicher ( Dual-Rank DDR3-RAM ab ca. 2400-MHz-Takt), da hier die Speicher-Bandbreite der limitierende Faktor ist. [24] Ein weiterer Leistungsschub soll sich mit der neuen Grafikschnittstelle AMD Mantle im Catalyst-Grafiktreiber ergeben. [25]

Carrizo-L (Puma+-Architektur)

[ Bearbeiten | Quelltext bearbeiten ]

Die Puma+ -Architektur stellt eine kleine Evolution zur Puma-Architektur dar und bietet leicht erhohte Taktraten. [26] Die Chips finden auf dem neuen FP4-Sockel Platz und besitzen je 128-Shader.

Technische Daten ? Nettops, Netbooks, Subnotebooks, Tablets

[ Bearbeiten | Quelltext bearbeiten ]

Bobcat-basierte Modelle (Zacate, Ontario)

[ Bearbeiten | Quelltext bearbeiten ]

Die verfugbare Speicher bandbreite (1-Kanal DDR3-1066 oder DDR3-1333 mit 64-Bit-Speicherbreite) wird von CPU und GPU im konkurrierenden Zugriff geteilt. Die eigentliche Chipflache ( die size ) liegt zwischen 75 und 77 mm².

RAM - Bus - Bandbreite
Speicherart Datenrate Taktfrequenz
PC3-8500 DDR3 -1066 0 8,5 GB/s 533 MHz
PC3-10600 DDR3-1333 10,6 GB/s 667 MHz

Zacate, E-Serie

[ Bearbeiten | Quelltext bearbeiten ]

Zacate ist der AMD-Codename fur eine 18-Watt-APU fur den Mainstream-Notebookmarkt in 40-nm-Technik. Die Modelle haben gegenuber der C-Serie einen hoheren Takt sowohl fur den Prozessor als auch fur den Grafikkern.

Modell-
Nummer
CPU-
Kerne
Takt L2-Cache Multi 1 V core GPU-Modell GPU-
Konfiguration
GPU-Takt
(max. Turbo)
Speicher-
Controller
TDP Turbo
Core
Prozessor-
Sockel
Marktstart
SPs TMUs ROPs
E-240 1 1,5 GHz 512 kB 15 ×  1,175-1,35 HD 6310 80 8 4 500 MHz DDR3-1066 18 W Nein BGA-413 4. Januar, 2011
E-300 2 1,3 GHz 2 × 512 kB 13 × N/A HD 6310 488 MHz DDR3-1066 18 W Nein 22. August 2011
E-350 1,6 GHz 16 ×  1,25-1,35 HD 6310 500 MHz DDR3-1066 18 W 4. Januar 2011
E-450 1,65 GHz 16,5 N/A HD 6320 508 (600) MHz DDR3-1333 18 W Ja 22. August 2011
E1-1200 1,4 GHz 14 N/A HD 7310 500 MHz DDR3-1066 18 W Nein Q3 2012
E1-1500 1,48 GHz 14,8 N/A HD 7310 529 MHz DDR3-1066 18 W Q1 2013 [27]
E2-1800 1,7 GHz 17 1,25-1,35 HD 7340 523 (680) MHz DDR3-1333 18 W Ja Q3 2012
E2-2000 1,75 GHz 17,5 N/A HD 7340 538 (700) MHz DDR3-1333 18 W Q1 2013 [28]
1  
Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Ontario, C-Serie

[ Bearbeiten | Quelltext bearbeiten ]
Netbook mit AMD Dual-Core C-50: Acer Aspire One 522
AMD C-60

Ontario ist der Codename fur eine Dual-Core- System-on-a-Chip -Implementierung in 40-nm-Technik. Die APU integriert den Bobcat-Prozessorkern und ist fur ultradunne Notebooks, Netbooks und andere Produkte unterhalb der 20-Watt-Grenze gedacht. [29] [30] In einer Ontario-APU stecken ein oder zwei Bobcat-Prozessorkerne und ein DirectX-11-Grafikkern mit 280 MHz. Das BGA-Gehause des fur Mobilgerate optimierten Ontarios ist zum Aufloten auf Mainboards fur Thin-and-Light-Notebooks und Netbooks ausgelegt. [31]

Anfang 2011 wurde die Serie mit zwei Modellen eingefuhrt. Die Singlecore-Version C-30 hat dabei einen Takt von 1,2 GHz fur den Prozessorkern, die Dualcore-Version C-50 von 1 GHz fur beide Kerne. [2] Die Dualcore-Versionen C-60 und C-70 haben nach bisheriger Kenntnis exakt gleiche technische Daten. Laut AMD wurde neben dem APU-Namen einzig das Radeon-Branding der GPU auf dem SoC geandert, um es in Einklang mit den anderen Produkten zu bringen. [32]

Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo) 1
L2-Cache Multi 2 V core GPU-Modell GPU-
Konfiguration
GPU-
Takt
TDP Turbo
Core
Prozessor-
Sockel
Marktstart
SPs TMUs ROPs
C-30 1 1,2 GHz 512 kB 12 ×  1,25?1,35 HD 6250 80 8 4 280 MHz 9 W Nein BGA-413 4. Januar 2011
C-50 2 1,0 GHz 2 × 512 kB 10 ×  1,05?1,35 HD 6250 280 MHz 4. Januar 2011
C-60 1,0 (1,33) GHz 10 ×  N/A HD 6290 276?400 MHz Ja 22. August 2011
C-70 1,0 (1,33) GHz 10 ×  N/A HD 7290 276?400 MHz 15. September 2012
1  
Die hochste Taktfrequenz im Turbomodus wird nur mit der Halfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne mussen dabei im Schlafmodus sein.
2  
Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Ontario, Embedded-G-Serie

[ Bearbeiten | Quelltext bearbeiten ]

Mit der Embedded-G-Serie-Plattform macht AMD die Fusion-Technologie Anfang 2011 fur Embedded-Systeme verfugbar, dabei handelt es sich um weitere Ontario-Versionen. Die APUs integrieren auf einer Package-Flache von 361 mm² (19 × 19 mm) [33] ein oder zwei 64-Bit-Prozessorkerne der Bobcat -Klasse sowie eine DirectX -11-fahige Grafikeinheit, die auch als Vektorprozessor genutzt werden kann. [34] Seit Marz 2011 bietet AMD auch sogenannte ?Headless“-Varianten fur eingebettete Systeme ohne Grafikausgabe an; diese besitzen dieselbe Package-Flache von 361 mm². [35] [36]

Modell-
Bezeichnung [36]
Taktung
in GHz
Anzahl
der Kerne
L2-Cache Grafik Speicher-
Typ
Max. TDP
in Watt
Turbo
Core
[36]
T16R 0,615 1 512 kB AMD Radeon™ HD 6250 LV DDR3-1066 4,5 Nein
T24L 1,0 512 kB ? LV DDR3-1066 5
T30L 1,4 512 kB ? DDR3-1066 18
T40R 1,0 512 kB AMD Radeon™ HD 6250 LV DDR3-1066 5,5
T40E 1,0 2 2 × 512 kB AMD Radeon™ HD 6250 LV DDR3-1066 6,4
T40N 1,0 AMD Radeon™ HD 6250 LV DDR3-1066 9 Ja
T44R 1,2 1 512 kB AMD Radeon™ HD 6250 LV DDR3-1066 9 Nein
T48L 1,4 2 2 × 512 kB ? DDR3-1066 18
T48E 1,4 AMD Radeon™ HD 6250 DDR3-1066 18
T48N 1,4 AMD Radeon™ HD 6310 DDR3-1066 18
T52R 1,5 1 512 kB AMD Radeon™ HD 6310 DDR3-1333 18
T56E 1,65 2 2 × 512 kB AMD Radeon™ HD 6250 DDR3-1333 18 Ja
T56N 1,65 AMD Radeon™ HD 6310 DDR3-1333 18

Jaguar-basierte Modelle (Kabini, Temash)

[ Bearbeiten | Quelltext bearbeiten ]

Kabini, A- und E-Serie

[ Bearbeiten | Quelltext bearbeiten ]
Modell-
Nummer
CPU-
Kerne
Takt L2-Cache Multi 1 V core GPU-Modell GPU-
Konfiguration
GPU-Takt
(max. Turbo)
Speicher-
Controller
TDP Turbo
Core
Prozessor-
Sockel
Marktstart
ALUs Shader-
Einheiten
TMUs ROPs
E1-2100 2 1,00 GHz 2 × 512 kB 10 N/A HD 8210 128 Vec16-SIMD - - 300 MHz DDR3L-1333 9 W Nein BGA Q3 2013
E1-2200 1,05 GHz 10,5 HD 8210 300 MHz Q4 2013 [10]
E1-2500 1,40 GHz 14 HD 8240 400 MHz 15 W Q3 2013
E2-3000 1,65 GHz 16,5 HD 8280 450 MHz DDR3L-1600 15 W Q3 2013 [37]
E2-3800 4 1,30 GHz 4 × 512 kB 13 HD 8280 450 MHz DDR3L-1600 15 W Q4 2013 [10]
A4-5000 1,50 GHz 15 HD 8330 500 MHz Q3 2013 [38]
A4-5100 1,55 GHz 15,5 HD 8330 500 MHz Q4 2013 [39]
A6-5200 2,00 GHz 20 HD 8400 600 MHz 25 W Q3 2013 [38]
1  
Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Temash, A-Serie

[ Bearbeiten | Quelltext bearbeiten ]
Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo) 1
L2-Cache Multi 2 V core GPU-Modell GPU-
Konfiguration
GPU-Takt
(max. Turbo)
Speicher-
Controller
TDP Turbo
Core
Prozessor-
Sockel
Marktstart
ALUs Shader-
Einheiten
TMUs ROPs
A4-1200 [40] 2 1,00 GHz 2 × 512 kB 10 N/A HD 8180 128 8x Vec16-SIMD - - 225 MHz DDR3L-1066 3,9 W Nein FT3 (BGA) 23.05.2013 [41]
A4-1250 [40] 1,00 GHz 10 HD 8210 300 MHz DDR3L-1333 8 W 23.05.2013 [41]
A4-1350 [40] 4 1,00 GHz 4 × 512 kB 10 HD 8210 300 MHz DDR3L-1066 8 W 9.11.2013 [42]
A6-1450 [40] 1,00 (1,40) GHz 10 (14) HD 8250 300 (400) MHz DDR3L-1066 8 W Ja 23.05.2013 [41]
1  
Die hochste Taktfrequenz im Turbomodus wird nur mit der Halfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne mussen dabei im Schlafmodus sein.
2  
Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Technische Daten ? Desktop und Notebook

[ Bearbeiten | Quelltext bearbeiten ]

Jaguar-basierte Modelle (Kabini)

[ Bearbeiten | Quelltext bearbeiten ]

Desktopmodelle Athlon und Sempron

[ Bearbeiten | Quelltext bearbeiten ]
Modell-
Nummer
CPU-
Kerne
Takt L2-Cache Multi 1 V core GPU-Modell GPU-
Konfiguration
GPU-Takt
(max. Turbo)
Speicher-
Controller
TDP Turbo
Core
Prozessor-
Sockel
Marktstart
ALUs Shader-
Einheiten
TMUs ROPs
Sempron 2650 2 1,45 GHz 2 × 512 kB 14,5 N/A HD 8240 128 8x Vec16-SIMD 8 4 400 MHz DDR3(L)-1333 25 W Nein AM1 09.04.2014
Sempron 3850 4 1,30 GHz 4 × 512 kB 13 HD 8280 450 MHz DDR3(L)-1600 09.04.2014
Athlon 5150 1,60 GHz 16 HD 8400 600 MHz 09.04.2014
Athlon 5350 2,05 GHz 20,5 HD 8400 600 MHz 09.04.2014
Athlon 5370 2,20 GHz 22,0 HD 8400 600 MHz 02.02.2016
1  
Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Husky-basierte Modelle (Llano)

[ Bearbeiten | Quelltext bearbeiten ]

Llano, A- und E-Serie

[ Bearbeiten | Quelltext bearbeiten ]
Eine AMD A6-3650 APU

Die APUs der Serien A und E wurden im Sommer 2011 veroffentlicht. Sie sind vorrangig fur Mainstream- und Low-End-Systeme im Notebook- und Desktop-Segment vorgesehen. [29] Auf einem Silizium-Die sind zwei bis vier x86-Architektur / AMD64 -Husky-CPU-Kerne beruhend auf der K10-Architektur mit verbessertem Speichercontroller und einem DirectX-11-fahigen Grafikprozessor vereint. [43]

Die APU wird in einem 32-nm- SOI -Prozess von Globalfoundries gefertigt und strebt die gleichen Zielmarkte an wie die Athlon-II-Linie. [44] Des Weiteren besitzt der Kombiprozessor einen integrierten PCIe -2.0-, einen Dual-Channel-DDR3-1600-Speichercontroller sowie 1 MB L2-Cache pro Kern, [44] jedoch keinen L3-Cache. Bei Bestuckung des Mainboards mit nur einem Speichermodul pro Kanal ist der Speichercontroller der Desktop-Prozessoren aus der A8- und A6-Serie auch fur DDR3-1866 spezifiziert, bei mobilen Prozessoren mit bis zu 35 W TDP ist maximal DDR3-1333 vorgesehen. Die Kommunikation mit dem Chipsatz/der Southbridge erfolgt uber das Unified Media Interface (UMI), welches auf PCIe basiert, mit 5 GT/s (Gigatransfers/Sekunde).

Modelle fur den Desktop

[ Bearbeiten | Quelltext bearbeiten ]
Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo) 1
L2-Cache Multi 2 V core GPU-Modell GPU-Konfiguration GPU-
Takt
TDP Turbo
Core
Prozessor-
Sockel
Marktstart
SPs Shader-
Einheiten
Textur-
einheiten
ROPs
E2-3200 2 2,4 GHz 2 × 512 kB 24 ×  N/A HD 6370D 160 32x5D-VLIW 8 4 444 MHz 65 W Nein FM1 Q3/2011
A4-3300 2,5 GHz 25 ×  HD 6410D 444 MHz 65 W Q3/2011
A4-3400 2,7 GHz 27 ×  HD 6410D 600 MHz 65 W Q3/2011
A4-3420 2,8 GHz 28 ×  HD 6410D 600 MHz 65 W Q4/2011
A6-3500 3 2,1 (2,4) GHz 3 × 1 MB 21 ×  N/A HD 6530D 320 64x5D-VLIW 16 8 444 MHz 65 W Ja Q3/2011
A6-3600 4 2,1 (2,4) GHz 4 × 1 MB 21 ×  N/A HD 6530D 320 64x5D-VLIW 16 444 MHz 65 W Q3/2011
A6-3620 2,2 (2,5) GHz 22 ×  N/A HD 6530D 444 MHz 65 W Q4/2011
A6-3650 2,6 GHz 26 ×  1,4125 V HD 6530D 444 MHz 100 W Nein Q3/2011
A6-3670K 2,7 GHz 27 × (offen) N/A HD 6530D 444 MHz 100 W Q4/2011
A8-3800 2,4 (2,7) GHz 24 ×  N/A HD 6550D 400 80x5D-VLIW 20 600 MHz 65 W Ja Q3/2011
A8-3820 2,5 (2,8) GHz 25 ×  N/A HD 6550D 600 MHz 65 W Q4/2011
A8-3850 2,9 GHz 29 ×  1,4125 V HD 6550D 600 MHz 100 W Nein Q3/2011
A8-3870K 3,0 GHz 30 × (offen) 1,4125 V HD 6550D 600 MHz 100 W Q4/2011
1  
Die hochste Taktfrequenz im Turbomodus wird nur mit der Halfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne mussen dabei im Schlafmodus sein.
2  
Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Modelle fur Notebooks

[ Bearbeiten | Quelltext bearbeiten ]
Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo) 1
L2-Cache Multi 2 V core GPU-Modell GPU-Konfiguration GPU-
Takt
TDP Turbo
Core
Prozessor-
Sockel
Marktstart
SPs Shader-
Einheiten
Textur-
einheiten
ROPs
E2-3300M 2 1,8 (2,2) GHz 2 × 512 kB 18 ×  N/A HD 6380G 160 32x5D-VLIW 8 4 444 MHz 35 W Ja FS1 uPGA Q3/2011
A4-3300M 1,9 (2,5) GHz 2 × 1 MB 19 ×  HD 6480G 240 48x5D-VLIW 444 MHz 35 W Q2/2011
A4-3305M 1,9 (2,5) GHz 2 × 512 kB 19 ×  HD 6480G 160 32x5D-VLIW 593 MHz 35 W Q4/2011
A4-3310MX 2,1 (2,5) GHz 2 × 1 MB 21 ×  HD 6480G 240 48x5D-VLIW 444 MHz 45 W Q2/2011
A4-3320M 2,0 (2,6) GHz 20 ×  HD 6480G 444 MHz 35 W Q4/2011
A4-3330MX 2,2 (2,6) GHz 22 ×  HD 6480G 444 MHz 45 W Q4/2011
A6-3400M 4 1,4 (2,3) GHz 4 × 1 MB 14 ×  HD 6520G 320 64x5D-VLIW 16 8 400 MHz 35 W Q2/2011
A6-3410MX 1,6 (2,3) GHz 16 ×  HD 6520G 400 MHz 45 W Q2/2011
A6-3420M 1,5 (2,4) GHz 15 ×  HD 6520G 400 MHz 35 W Q4/2011
A6-3430MX 1,7 (2,4) GHz 17 ×  HD 6520G 400 MHz 45 W Q4/2011
A8-3500M 1,5 (2,4) GHz 15 ×  HD 6620G 400 80x5D-VLIW 20 444 MHz 35 W Q2/2011
A8-3510MX 1,8 (2,5) GHz 18 ×  HD 6620G 444 MHz 45 W Q2/2011
A8-3520M 1,6 (2,5) GHz 16 ×  HD 6620G 444 MHz 35 W Q4/2011
A8-3530MX 1,9 (2,6) GHz 19 ×  HD 6620G 444 MHz 45 W Q2/2011
A8-3550MX 2,0 (2,7) GHz 20 ×  HD 6620G 444 MHz 45 W Q4/2011
1  
Die hochste Taktfrequenz im Turbomodus wird nur mit der Halfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne mussen dabei im Schlafmodus sein.
2  
Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Piledriver-basierte Modelle (Trinity, Richland)

[ Bearbeiten | Quelltext bearbeiten ]

Trinity und Richland, A-Serie

[ Bearbeiten | Quelltext bearbeiten ]

Diese zweite Generation der A-Serie wurde am 15. Mai 2012 (mobile Prozessoren) [45] und die Desktopmodelle am 2. Oktober 2012 (Desktop) [46] veroffentlicht bzw. auf der Computex 2012 angekundigt (Desktop). [47] Ihr liegt die Bulldozer-Architektur mit Piledriver-Kernen zugrunde. Der GPU-Teil verwendet ein 4D-VLIW-Shader-Design, das mit den Radeon-HD-6900-Grafikkarten vorgestellt wurde. Modelle mit angehangtem ?K“ in der Bezeichnung verfugen uber einen offenen Multiplikator, lassen sich also uber- oder untertakten. CPUs mit deaktivierter Grafikeinheit werden unter dem Namen ?Athlon II“ vermarktet.

Am 12. Marz 2013 stellte AMD die 2. Generation Piledriver-basierter APUs (also die 3. Generation der A-Serie) mit Namen Richland vor. Durch eine Technik namens "Resonant Clock Mesh" konnten die Taktraten sowohl der CPU als auch der GPU gesteigert werden.

Modelle fur den Desktop

[ Bearbeiten | Quelltext bearbeiten ]
Modell-
Nummer
Module/
Integercluster/
Threads
Takt
(max. Turbo) 1
L2-Cache Multi 2 V core GPU-Modell GPU-Konfiguration GPU-
Takt (Turbo)
TDP Turbo
Core
3.0
Prozessor-
Sockel
Marktstart Speicher-
controller
Codename
SPs Shader-
Einheiten
Textur-
einheiten
ROPs
Athlon II X2 340 1/2/2 3,2 (3,6) GHz 1 × 1 MB N/A N/A deaktiviert 65 W Ja FM2 Q4/2012 1600 MHz Trinity
Athlon II X2 370K 4,0 (4,2) GHz 65 W Q2/2013 1866 MHz Richland
Athlon II X4 730 2/4/4 2,8 (3,2) GHz 2 × 2 MB 65 W Q4/2012 Trinity
Athlon II X4 740 3,2 (3,7) GHz 65 W Q4/2012
Athlon II X4 750K 3,4 (4,0) GHz 100 W Q4/2012
Athlon II X4 760K 3,8 (4,1) GHz 100 W Q2/2013 Richland
A4-4000 1/2/2 3,0 (3,2) GHz 1 × 1 MB HD 7480D 128 32x4D 8 8 720 (N/A) MHz 65 W Q2/2013 (OEM) 1333 MHz
A4-4020 3,2 (3,4) GHz 720 (N/A) MHz 65 W Q1/2014
A4-5300 3,4 (3,6) GHz 724 (N/A) MHz 65 W Q3/2012 (OEM) 1600 MHz Trinity
A4-6300 3,7 (3,9) GHz HD 8370D 760 (N/A) MHz 65 W Q2/2013 (OEM) 1866 MHz Richland
A4-6320 3,8 (4,0) GHz 760 (N/A) MHz 65 W Q1/2014
A4-7300 3,8 (4,0) GHz HD 8470D 192 48x4D 12 800 (N/A) MHz 65 W Q3/2014 1600 MHz
A6-5400K 3,6 (3,8) GHz HD 7540D 760 (N/A) MHz 65 W Q3/2012 (OEM) 1866 MHz Trinity
A6-6400K 3,9 (4,1) GHz HD 8470D 800 (N/A) MHz 65 W Q2/2013 (OEM) Richland
A6-6420K 4,0 (4,2) GHz 800 (N/A) MHz 65 W Q1/2014
A8-5500 2/4/4 3,2 (3,7) GHz 2 × 2 MB HD 7560D 256 64x4D 16 760 (N/A) MHz 65 W Q3/2012 (OEM) 1866 MHz Trinity
A8-5600K 3,6 (3,9) GHz 760 (N/A) MHz 100 W Q3/2012 (OEM)
A8-6500T 2,1 (3,1) GHz HD 8550D 720 (N/A) MHz 45 W Q3/2013 1600 MHz Richland
A8-6500 3,5 (4,1) GHz HD 8570D 844 (N/A) MHz 65 W Q2/2013 (OEM) 1866 MHz
A8-6600K 3,9 (4,2) GHz 844 (N/A) MHz 100 W Q2/2013 (OEM)
A10-5700 3,4 (4,0) GHz HD 7660D 384 96x4D 24 760 (N/A) MHz 65 W Q3/2012 (OEM) 1866 MHz Trinity
A10-5800K 3,8 (4,2) GHz 800 (N/A) MHz 100 W Q3/2012 (OEM)
A10-6700T 2,5 (3,5) GHz HD 8650D 720 (N/A) MHz 45 W Q3/2013 Richland
A10-6700 3,7 (4,3) GHz HD 8670D 844 (N/A) MHz 65 W Q2/2013 (OEM)
A10-6790K 4,0 (4,3) GHz 844 (N/A) MHz 100 W Q4/2013 (OEM)
A10-6800K 4,1 (4,4) GHz 844 (N/A) MHz 100 W Q2/2013 (OEM) 2133 MHz
1  
Die hochste Taktfrequenz im Turbomodus wird nur mit der Halfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne mussen dabei im Schlafmodus sein.
2  
Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Quellen [48] [49] [50] [51] [52]

Modelle fur Notebooks

[ Bearbeiten | Quelltext bearbeiten ]
Modell-
Nummer
Module/
Integercluster/
Threads
Takt
(max. Turbo) 1
L2-Cache Multi 2 V core GPU-Modell GPU-Konfiguration GPU-
Takt (Turbo)
TDP Turbo
Core
3.0
Prozessor-
Sockel
Marktstart Codename
SPs Shader-
Einheiten
Textur-
einheiten
ROPs
A4-4300M 1/2/2 2,5 (3,0) GHz 1 MB N/A N/A HD 7420G 192 48x4D N/A N/A 470 (640) MHz 35 W Ja FS1r2 2012 Trinity
A4-4355M 1,9 (2,4) GHz N/A (ULV) HD 7400G 327 (424) MHz 17 W FP2 2012
A4-5145M 2,0 (2,6 GHz) N/A HD 8310G 128 N/A 424 (554) MHz 17 W FP2 Q2/2013 Richland
A4-5150M 2,7 (3,3 GHz) N/A HD 8350G 514 (720) MHz 35 W FS1r1 Q2/2013
A6-4400M 2,7 (3,2) GHz N/A HD 7520G 192 48x4D 497 (686) MHz 35 W FS1r2 Q2/2012 Trinity
A6-4455M 2,1 (2,6) GHz 2 MB N/A (ULV) HD 7500G 256 64x4D 327 (424) MHz 17 W FP2 Q2/2012
A6-5345M 2,2 (2,8) GHz 1 MB N/A HD 8410G 192 48x4D 450 (600) MHz 17 W FP2 Q2/2013 Richland
A6-5350M 2,9 (3,5) GHz N/A HD 8450G 533 (720) MHz 35 W FS1r2 Q2/2013
A6-5357M 2,9 (3,5) GHz N/A HD 8450G 533 (720) MHz 35 W FPr2 Q2/2013
A8-4500M 2/4/4 1,9 (2,8) GHz 2 × 2 MB N/A N/A HD 7640G 256 64x4D N/A 497 (655) MHz 35 W FS1r2 Q2/2012 Trinity
A8-4555M 1,6 (2,4) GHz N/A (ULV) HD 7600G 384 96x4D 8 320 (424) MHz 19 W FP2 Q3/2012
A8-5545M 1,7 (2,7) GHz N/A HD 8510G N/A 450 (554) MHz 19 W FP2 Q2/2013 Richland
A8-5550M 2,1 (3,1) GHz N/A HD 8550G 256 64x4D N/A 515 (720) MHz 35 W FS1r2 Q2/2013
A8-5557M 2,1 (3,1) GHz N/A HD 8550G N/A 554 (720) MHz 35 W FP2 Q2/2013
A10-4600M 2,3 (3,2) GHz N/A HD 7660G 384 96x4D 8 497 (686) MHz 35 W FS1r2 Q2/2012 Trinity
A10-4655M 2,0 (2,8) GHz N/A (ULV) HD 7620G 8 360 (496) MHz 25 W FP2 Q2/2012
A10-5745M 2,1 (2,9) GHz N/A HD 8610G 8 533 (626) MHz 25 W FP2 Q2/2013 Richland
A10-5750M 2,5 (3,5) GHz N/A HD 8650G 8 533 (720) MHz 35 W FS1r2 Q2/2013
A10-5757M 2,5 (3,5) GHz N/A HD 8650G N/A 600 (720) MHz 35 W FP2 Q2/2013
1  
Die hochste Taktfrequenz im Turbomodus wird nur mit der Halfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne mussen dabei im Schlafmodus sein.
2  
Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Steamroller-basierte Modelle (Kaveri, Kaveri-Refresh)

[ Bearbeiten | Quelltext bearbeiten ]

Die vierte Generation der A-Serie wurde am 12. November 2013 auf der AMD-Entwicklerkonferenz APU 13 angekundigt, [22] Einfuhrung war am 14. Januar 2014. Den Anfang macht im Desktopbereich der A10-7850K, der mit zwei Steamroller -CPU-Modulen vier Threads parallel verarbeitet.

Der GPU-Teil besitzt eine Radeon-Grafikeinheit vergleichbar denen der R7-Modelle der AMD-Radeon-R200-Serie (?Volcanic Islands“). Sie basiert auf der GCN -Architektur (?Graphics Core Next“) der Version 1.1. Diese wurde mit dem Bonaire-Chip, der AMD-Radeon-HD-7000-Serie eingefuhrt. Die GPU nimmt fast die Halfte der Die-Flache in Anspruch und ist kompatibel zu DirectX 11.2, OpenGL 4.3 und der AMD-getriebenen 3D-Schnittstelle Mantle. [22]

Die APU besitzt eine erstmals im Desktop-Bereich umgesetzte heterogene Systemarchitektur ( Heterogeneous System Architecture bzw. HSA), die die Zusammenarbeit von CPU und GPU uber GPGPU hinaus verbessern soll. Hierbei wird der Grafikteil intensiver als bisher verwendet, um die Prozessorkerne bei ihren Berechnungen zu unterstutzen. Dazu gehoren der direkte Austausch von Informationen ohne Umweg uber ein Betriebssystem ( Heterogeneous Queuing bzw. hQ) und das Zugreifen auf denselben Adressraum des Arbeitsspeichers ( Heterogeneous Uniform Memory Access oder hUMA), sodass Berechnungsergebnisse beiden Teilen schnell zur Verfugung stehen. [22] Daruber hinaus ist ein Audio-Coprozessor in die APU integriert.

Mittels Custom Thermal Design Power (cTDP) kann im BIOS die Thermal Design Power der CPU konfiguriert werden, dies ermoglicht es z. B. den A10-7850 mit 45 W oder 65 W TDP zu betreiben. Die Taktfrequenz der CPU wird dann in Abhangigkeit von der cTDP auf bestimmte Werte gedrosselt. Aktiv beworben wird dies im Moment nur fur den A8-7600, welcher explizit mit 65 W und 45 W gelistet wird.

Durch eine nochmalige Uberarbeitung kamen 2015 unter der Bezeichnung Kaveri-Refresh (inoffiziell Godavari ) vor allem bei der integrierten Grafik optimierte APUs mit geringen Taktsteigerungen auf den Markt. Das Topmodell A10-7870K weist z.Bsp. gegenuber dem bisherigen A10-7850K beim CPU-Teil mit 200 MHz mehr Basis-Takt, aber nur 100 MHz mehr Turbo-Takt auf. Die Kaveri- und Kaveri-Refresh-APUs sind fur den Sockel FM2+ ausgelegt und sollen nach den Empfehlungen des Herstellers mit den Fusion Controller Hubs A58, A68H, A78 oder A88X kombiniert werden. [53]

Modelle fur den Desktop

[ Bearbeiten | Quelltext bearbeiten ]
Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo) 1
L2-Cache Multi 2 GPU-
Modell
GPU-Konfiguration GPU-
Takt
(Turbo)
TDP Turbo
Core
3.0
Sockel Markt-
start
Speicher-
controller
ALUs Shader-
Einheiten
Textur-
einheiten
ROPs
Athlon X4 830 4 3,0 (3,4) GHz 2 × 2 MB 30 deaktiviert 65 W Ja FM2+ Qx/201y DDR3-1866
Athlon X4 840 3,1 (3,8) GHz 31 65 W Q2/2014 DDR3-1866
Athlon X4 860K 3,7 (4,0) GHz offen 95 W Q2/2014 DDR3-2133
Athlon X4 870K 3,9 (4,1) GHz offen 95 W Q4/2015 DDR3-2133
Athlon X4 880K 4,0 (4,2) GHz offen 95 W Q1/2016 DDR3-2133
A6-7400K 2 3,5 (3,9) GHz 1 MB offen R5 Series 256 16× Vec16-SIMD 24 8 (756) MHz 65 W Q2/2014 DDR3-1866
A8-7600 4 3,1 (3,3) GHz
3,3 (3,8) GHz
2 × 2 MB 33 R7 2xxD 384 24× Vec16-SIMD 24 8 654 (720) MHz 45 W
65 W
Q2/2014 DDR3-2133
A8-7650K 3,3 (3,7) GHz offen R7 Spectre 384 24× Vec16-SIMD 24 8 654 (720) MHz 95 W Q1/2015 DDR3-2133
A8-7670K 3,6 (3,9) GHz offen R7 Spectre 384 24x Vec16-SIMD 24 8 654 (757) MHz 95 W Q3/2015 DDR3-2133
A10-7700K 3,4 (3,8) GHz offen R7 Spectre 384 24× Vec16-SIMD 24 8 654 (720) MHz 95 W Q1/2014 DDR3-2133
A10-7800 3,5 (3,9) GHz 35 R7 Spectre 512 32× Vec16-SIMD 32 8 654 (720) MHz 65 W Q2/2014 (OEM) DDR3-2133
A10-7850K 3,7 (4,0) GHz offen R7 Spectre 512 32× Vec16-SIMD 32 8 654 (720) MHz 95 W Q1/2014 DDR3-2133
A10-7860K 3,6 (4,0) GHz offen R7 Spectre 512 32× Vec16-SIMD 32 8 757 (-) MHz 65 W Q2/2016 DDR3-2133
A10-7870K 3,9 (4,1) GHz offen R7 Spectre 512 32× Vec16-SIMD 32 8 866 (-) MHz 95 W Q2/2015 DDR3-2133
A10-7890K 4,1 (4,3) GHz offen R7 Spectre 512 32× Vec16-SIMD 32 8 866 (-) MHz 95 W Q1/2016 DDR3-2133
1  
Die hochste Taktfrequenz im Turbomodus wird nur mit der Halfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne mussen dabei im Schlafmodus sein.
2  
Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Die CPUs mit dem Kurzel M (z. B. A10-5750M) sind Mobilprozessoren, Modelle mit angehangtem B sind Business-Modelle mit langerer garantierter Verfugbarkeit (z. B. A8-Pro 7600B). Diese werden mindestens 24 Monate verfugbar sein und die zugrundeliegende Software wird uber 18 Monate keine Anpassungen erfahren, die fur Aufwand bei der Administration der Rechner sorgen. [54]

Mit dem Kaveri - Codenamen hat AMD auch wie vom Athlon FX bekannt die Bezeichnung FX eingefuhrt, z. B. bei dem FX-7600P. Das P steht fur 35 Watt TDP bei den Kaveris fur Notebooks, wahrend die Modelle ohne das Kurzel mit 19 Watt oder 17 Watt auskommen.

Die CPUs mit dem Kurzel K (z. B. A10-7700K) haben den Multiplikator nicht gesperrt, bekannt aus der Phenom-Serie in den Black-Edition -CPUs.

Excavator-basierte Modelle (Carrizo, Bristol Ridge)

[ Bearbeiten | Quelltext bearbeiten ]

Auf Excavator -CPU-Kernen basieren die Ein-Chip-Systeme mit dem Codenamen Carrizo . [55] Verschiedene Modelle mit aktivierter GPU wurden bereits 2015 vorgestellt; sie sind fur den Einsatz in mobilen Computern vorgesehen. Im Februar 2016 und im Januar 2019 folgten mit dem Athlon X4 845 und dem A8-7680 zwei Carrizo -Modelle fur den Einsatz in Desktopcomputern, deren Hauptplatine mit dem Sockel FM2+ ausgestattet ist. [56] [57] Als Bristol Ridge sollen 2016 APUs fur Desktopcomputer mit dem Sockel AM4 erscheinen. [58]

Mit Summit Ridge , basierend auf der Zen -Architektur, folgte dann eine komplett neue Prozessorentwicklung.

Modelle fur den Desktop

[ Bearbeiten | Quelltext bearbeiten ]
Modell-
Nummer
CPU-
Kerne
Takt
(max. Turbo) 1
L2-Cache Multi 2 GPU-
Modell
GPU-Konfiguration GPU-
Takt
(Turbo)
TDP Turbo
Core
3.0
Sockel Markt-
start
Speicher-
controller
ALUs Shader-
Einheiten
Textur-
einheiten
ROPs
Athlon X4 845 4 3,5 (3,8) GHz 2 × 1 MB 35 deaktiviert 65 W Ja FM2+ Feb. 2016 DDR3-2133
Athlon X4 940 3,2 (3,6) GHz 2 × 1 MB 32 65 W AM4 Juli 2017 DDR4-2400
Athlon X4 950 3,5 (3,8) GHz 2 × 1 MB 35 65 W Juli 2017 DDR4-2400
Athlon X4 970 3,8 (4,0) GHz 2 × 1 MB 38 65 W Juli 2017 DDR4-2400
A6-9500E 2 3,0 (3,4) GHz 1 × 1 MB 30 Radeon R5 256 16× Vec16-SIMD 16 8 (800) 35 W Sep. 2016 DDR4-2400
A6-9500 3,5 (3,8) GHz 1 × 1 MB 35 Radeon R5 384 24× Vec16-SIMD 24 8 (1029) 65 W Sep. 2016 DDR4-2400
A6-9550 3,8 (4,0) GHz 1 × 1 MB 38 Radeon R5 384 24× Vec16-SIMD 24 8 (1029) 65 W Juli 2017 DDR4-2400
A8-7680 4 3,5 (3,8) GHz 2 x 1 MB 35 Radeon R7 384 24× Vec16-SIMD 24 8 (720) 65 W FM2+ Jan. 2019 DDR3-2133
A8-9600 3,1 (3,4) GHz 2 × 1 MB 31 Radeon R7 384 24× Vec16-SIMD 24 8 (900) 65 W AM4 Sep. 2016 DDR4-2400
A10-9700 3,5 (3,8) GHz 2 × 1 MB 35 Radeon R7 384 24× Vec16-SIMD 24 8 (1029) 65 W Sep. 2016 DDR4-2400
A10-9700E 3,0 (3,5) GHz 2 × 1 MB 30 Radeon R7 384 24× Vec16-SIMD 24 8 (847) 35 W Sep. 2016 DDR4-2400
A12-9800E 3,1 (3,8) GHz 2 × 1 MB 31 Radeon R7 512 32× Vec16-SIMD 32 8 (900) 35 W Sep. 2016 DDR4-2400
A12-9800 3,8 (4,2) GHz 2 × 1 MB 38 Radeon R7 512 32× Vec16-SIMD 32 8 (1108) 65 W Sep. 2016 DDR4-2400
1  
Die hochste Taktfrequenz im Turbomodus wird nur mit der Halfte der Gesamtprozessorkerne erreicht. Die restlichen Kerne mussen dabei im Schlafmodus sein.
2  
Die Taktfrequenz der Prozessoren wird aus dem Systemtakt von 100 MHz und dem Taktmultiplikator generiert.

Einzelnachweise

[ Bearbeiten | Quelltext bearbeiten ]
  1. Fusion: AMD: Fusion heißt jetzt Fusion , heise.de, 16. September 2010  
  2. a b c Michael Gunsch: AMDs ?Fusion“-Ara beginnt heute . Computerbase, 4. Januar 2011.
  3. Homepage der ?HSA Foundation“. Abgerufen am 21. Februar 2013 (englisch).
  4. Fusion: AMD zeigt Demo und nennt Termin , heise.de, 2. Juni 2010  
  5. AMD-bringt-neuen-Notebook-Prozessor. In: heise.de. Archiviert vom Original (nicht mehr online verfugbar) am 17. Juni 2011 ; abgerufen am 17. Juni 2011 .
  6. Andreas Schilling: 2. APU-Generation: AMD stellt "Trinity"-Prozessoren vor. In: hardwareluxx.de. 15. Mai 2012, abgerufen am 17. April 2015 .
  7. AMD Fusion Whitepaper. (PDF) Archiviert vom Original (nicht mehr online verfugbar) am 24. Januar 2011 ; abgerufen am 9. Dezember 2010 .
  8. Nico Ernst: Prozessoren 2010: Die Fusion beginnt. In: Golem.de. 2. Januar 2010, abgerufen am 17. April 2015 .
  9. Revision Guide for AMD Family 14h Models 00h-0Fh Processors. (PDF; 373 kB) In: amd.com. S. 4 , abgerufen am 8. Mai 2012 (Aktuell (17. April 2015 wird auf eine andere AMD-Seite umgeleitet)).
  10. a b c Roland Neumeier (Opteron): AMD prasentiert Jaguar-Quad-Modul auf der ISSCC. In: Planet3DNow. 21. Februar 2013, abgerufen am 17. April 2015 .
  11. Volker Rißka: AMDs ?Jaguar“: Scharfe Krallen und Zahne auf 3,1 mm². In: Computerbase. 28. August 2012, abgerufen am 17. April 2015 .
  12. Michael Grunsch: AMD zur ISSCC 2013: Weitere Details zu ?Jaguar“. In: Computerbase. 20. Februar 2013, abgerufen am 17. April 2015 .
  13. AMD stellt Beema- und Mullins-APUs fur preiswerte Notebooks sowie Tablets offiziell vor - Planet 3DNow! Abgerufen am 12. November 2020 (deutsch).
  14. Anand Lal Shimpi: AMD Beema/Mullins Architecture & Performance Preview. In: anandtech. 29. April 2014, abgerufen am 17. April 2015 (englisch).
  15. turionpowercontrol. In: Google Project Hosting. Abgerufen am 5. August 2012 (englisch).
  16. Revision Guide for AMD Family 12h Processors , Seite 4, amd.com (PDF; 326 kB) abgerufen am 8. Mai 2012
  17. Whats new in AMD APP. In: AMD Blog. 21. Dezember 2010, archiviert vom Original (nicht mehr online verfugbar) am 16. Januar 2011 ; abgerufen am 16. Januar 2011 (englisch).
  18. Manne Kreuzer: Fusion bald fur Embedded verfugbar? In: elektroniknet.de. 3. November 2010, archiviert vom Original (nicht mehr online verfugbar) am 10. November 2010 ; abgerufen am 17. April 2015 .
  19. AMD Fusion Family of APUs: Enabling a Superior, Immersive PC Experience. (PDF) 2010, abgerufen am 9. Dezember 2010 (englisch, AMD-ID 48423B).
  20. Volker Rißka: AMDs Launchplane fur ?Trinity“, ?Brazos 2.0“,?Vishera“ und ?Hondo“. In: Computerbase. 8. Mai 2012, abgerufen am 17. April 2015 .
  21. Second-Generation AMD A-Series APUs Enable Best-in-Class PC Mobility, Entertainment, and Gaming Experience in Single Chip. 15. Mai 2012, abgerufen am 17. April 2015 (englisch).
  22. a b c d APU13: AMDs Kombiprozessor Kaveri mit 512 GPU-Kernen ab 14. Januar. In: heise.de. 12. November 2013, abgerufen am 17. April 2015 .
  23. Mark Mantel: AMD Kaveri: Weitere Benchmarks des A10-7850K sowie A10-7800 aufgetaucht - 5-20 % schneller als A10-6800K? In: PC Games Hardware . 9. Januar 2014, abgerufen am 17. April 2015 .
  24. Dustin Sklavos: AMD Kaveri A10-7850K: From DDR3-1600 to DDR3-2400. In: Corsair Blog. 23. Januar 2014, archiviert vom Original (nicht mehr online verfugbar) am 1. Februar 2014 ; abgerufen am 1. Februar 2014 .
  25. Wolfgang Andermahr: AMDs Mantle fur jedermann ist da. In: Computerbase. 30. Januar 2014, abgerufen am 17. April 2015 .
  26. AMD-APU: Carrizo-L vorgestellt, Kaveri im Preis gesenkt - Artikel bei computerbase.de , vom 7. Mai 2015
  27. Zwei neue APUs von AMD zur CES 2013 vorgestellt , computerbase.de, 6. Januar 2013  
  28. Zwei neue APUs von AMD zur CES 2013 vorgestellt , computerbase.de, 6. Januar 2013  
  29. a b At-A-Glance Codename Decoder. AMD, archiviert vom Original (nicht mehr online verfugbar) am 12. Mai 2014 ; abgerufen am 14. September 2011 .
  30. AMD Ontario: Monolithic System-on-Chip, 40nm Fabrication Process ( Memento des Originals vom 28. Dezember 2010 im Internet Archive ), xbitlabs.com, 20. April 2010  
  31. AMD: Details der 2011 kommenden Prozessorkerne Bobcat und Bulldozer , 12. November 2009  
  32. Volker Rißka: AMD stellt drei neue Low-Power-APUs fur Notebooks vor. In: Computerbase.de . 27. September 2012, abgerufen am 17. April 2015 .
  33. AMD Embedded G-Series Platform. (PDF; 1,2 MB) 23. Mai 2011, archiviert vom Original (nicht mehr online verfugbar) am 4. Juni 2011 ; abgerufen am 4. Juni 2011 .
  34. AMD Delivers the World’s First and Only APU for Embedded Systems , amd.com, 19. Januar 2011  
  35. Aurelius Wosylus, Holger Heller: Eine neue Prozessorgeneration fur Embedded-Systeme. In: Elektronik Praxis. 6. Juli 2011, abgerufen am 17. April 2015 .
  36. a b c AMD Embedded G-Series Platform Brief. (PDF; 1,3 MB) AMD, 12. Mai 2011, archiviert vom Original (nicht mehr online verfugbar) am 4. Juni 2011 ; abgerufen am 4. Juni 2011 (englisch).
  37. Zwei neue APUs von AMD zur CES 2013 vorgestellt , computerbase.de, 6. Januar 2013  
  38. a b HP 255 mit neuem AMD-SoC: HP enthullt erste ?Kabini“-Prozessoren fur Notebooks , computerbase.de, 12. Mai 2013  
  39. Dr@: AMD erganzt Kabini-Portfolio mit drei neuen Modellen , planet3dnow.de, 3. November 2013  
  40. a b c d AMD Amplifies Mobile Experience with Responsive Performance, Rich Graphics, Elite Software and Long Battery Life. In: AMD Newsroom. 23. Mai 2013, abgerufen am 17. April 2015 (englisch).
  41. a b c AMD Amplifies Mobile Experience with Responsive Performance, Rich Graphics, Elite Software and Long Battery Life , amd.com, 23. Mai 2013  
  42. AMD Expands Elite Mobility APU Line-Up with New Quad-Core Processor , amd.com, 9. November 2013  
  43. AMD Fusion: Stromspar-Feinheiten im 32-nm-Chip , heise.de, 9. Februar 2010  
  44. a b AMD Reveals More Llano Details at ISSCC: 32nm, Power Gating, 4-cores, Turbo? , anandtech.com, 8. Februar 2010  
  45. Volker Rißka, Patrick Bellmer: Das leistet AMDs A8-4500M mit HD 7670M. In: Computerbase.de. 12. Mai 2012, abgerufen am 17. April 2015 .
  46. Trinity: AMD legt Desktop-CPUs nach. In: dslteam.de. 2. Oktober 2012, archiviert vom Original (nicht mehr online verfugbar) am 15. April 2015 ; abgerufen am 17. April 2015 .   Info: Der Archivlink wurde automatisch eingesetzt und noch nicht gepruft. Bitte prufe Original- und Archivlink gemaß Anleitung und entferne dann diesen Hinweis. @1 @2 Vorlage:Webachiv/IABot/www.dslteam.de
  47. computerbase.de
  48. Marc Sauter: Computex 2012: AMD stellt die Desktop-Trinitys und Brazos 2.0 offiziell vor und zeigt einen Notebook-Tablet-Hybriden. In: PCGamesHardware. 6. Juni 2012, abgerufen am 17. April 2015 .
  49. AMD bringt neue Desktop-Prozessoren. In: heise.de. 5. Juni 2013, abgerufen am 17. April 2015 .
  50. AMD Athlon X2 370K specifications. In: cpu-world.com. Abgerufen am 17. April 2015 (englisch).
  51. AMD Athlon X2 340 specifications. In: cpu-world.com. Abgerufen am 17. April 2015 (englisch).
  52. AMD A4-7300 specifications. In: cpu-world.com. Abgerufen am 18. Januar 2022 (englisch).
  53. Kevin Carbotte: Don’t Call It 'Godavari'; AMD Updates Kaveri APUs With DX12, FreeSync And VSR Support In: tom’s HARDWARE , 29. Mai 2015.
  54. AMD FX-7600P ?Kaveri“ im Test - Erster Eindruck zur Notebook-APU , computerbase.de, 4. Juni 2014  
  55. AMD: AMD Discloses Architecture Details of High-Performance, Energy-Efficient “Carrizo” System-on-Chip , Pressemitteilung vom 23. Februar 2015.
  56. Marc Sauter: AMD veroffentlicht Carrizo fur Sockel FM2+ In: golem.de , 2. Februar 2016.
  57. Aljoscha Reineking: AMD Carrizo: Neue FM2+-APU A8-7680 vorgestellt. Abgerufen am 13. Oktober 2020 .
  58. kitguru.net